Forum: FPGA, VHDL & Co. Mux in Xilinx Webpack 11.3 "RTL schematic viewer"


von Heiko L. (drcaveman)


Lesenswert?

Hallo!

Ich habe einen einfachen Mux gebastelt, der mir 8 8bit std_logic_vector 
auf einen muxt:
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.NUMERIC_STD.ALL;
4
5
package MY_PKG is
6
7
type SLV_ARRAY is array (7 downto 0) of STD_LOGIC_VECTOR (7 downto 0);
8
9
end MY_PKG;
10
11
library IEEE;
12
use IEEE.STD_LOGIC_1164.ALL;
13
use IEEE.NUMERIC_STD.ALL;
14
use WORK.MY_PKG.ALL;
15
16
entity my_mux is
17
Port
18
  (
19
    regs_in : in  SLV_ARRAY;
20
    reg_out : out  STD_LOGIC_VECTOR (7 downto 0);
21
    reg_adr : in STD_LOGIC_VECTOR (2 downto 0)
22
  );
23
end my_mux;
24
25
architecture Behavioral of my_mux is
26
27
begin
28
29
reg_out <= regs_in(to_integer(unsigned(reg_adr)));
30
31
end Behavioral;

"View Technology Schematic" zeigt mir ein ziemliches Wollknäuel- scheint 
also zu funktionieren.

"View RTL Schematic" zeigt jedoch nur einen "Mux- Baustein" an 
regs_in(0), reg_adr und reg_out. Die anderen regs_in sind 
unbeschaltet...

Wieder ein Bug oder habe ich mich mal wieder verprogrammiert?

Danke!

von Duke Scarring (Gast)


Lesenswert?

Wo sind denn die entsprechenden Bilder?

von Heiko L. (drcaveman)


Angehängte Dateien:

Lesenswert?

Hier z.B. ;)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Sel(6:0)
Das sieht böse aus...  :-(

von Heiko L. (drcaveman)


Lesenswert?

Aber was sagt mir das?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Aber was sagt mir das?
Du hast die Grenzen dieses Tools erfahren :-/

> Aber was sagt mir das?
Ich hätte dir auch einige solcher Beispiele, trotzdem laufen die Designs 
;-)
Siehe dort den Abschnitt "Als kleiner Gimmick":
http://www.lothar-miller.de/s9y/archives/52-Kompakte-Flankenerkennung.html

von Heiko L. (drcaveman)


Lesenswert?

Aha, es ist also kein Denkfehler in meiner Programmierung?
Kann man es denn anders formulieren und schauen, was der "RTL viewer" 
dann daraus bastelt (ich hab mir deinen Link mal angesehen)?

Beim Xilinx Webpack kommt man wohl nicht um die Testbenches herum, was?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Beim Xilinx Webpack
Das ist nicht nur beim Webpack so, der gleiche Viewer ist auch in den 
Bezahlversionen drin.

> kommt man wohl nicht um die Testbenches herum, was?
Naja, eine kleine Simulation schadet nie... ;-)
Und ganz wichtig: die Warnungen und Infos der Synthese genau ansehen.

von Heiko L. (drcaveman)


Lesenswert?

> Das ist nicht nur beim Webpack so, der gleiche Viewer ist auch in den
> Bezahlversionen drin.

Oha.

> die Warnungen und Infos der Synthese genau ansehen.

Beim Verstehen hapert es manchmal noch ;).

Danke für alles!

von Christian R. (supachris)


Lesenswert?

Heiko L. schrieb:
>> die Warnungen und Infos der Synthese genau ansehen.
>
> Beim Verstehen hapert es manchmal noch ;).


Zu fast allen Warnungen und Infos gibts einen "Answer Record" bei 
Xilinx, da ist ziemlich gut erklärt, was da genau passiert ist.

von Heiko L. (drcaveman)


Lesenswert?

Ich hab schon einige Dinger produziert, zu denen es keine Hilfe zu gab 
;)
Aber die waren recht einfach zu beheben.

Danke euch allen!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.