Forum: FPGA, VHDL & Co. Aldec Simulator findet MachXO Lib nicht


von Andi Z. (duderino65)


Lesenswert?

hallo,

ich habe das problem das mein simulator (aldec active hdl 8.1) die 
library "machxo.components" nicht findet. in der vho datei ist ein rotes 
x beim use... befehl.

es gibt dann folgende fehler:

# Compile...
# File: C:\ispTOOLS7_2_STRT\work\i2s_adat_9_11\ebr_syclk.vho
# Compile Entity "vmuxregsre"
# Error: COMP96_0055: ebr_syclk.vho : (12, 13): Cannot find referenced 
context element.
# Compile Architecture "Structure" of Entity "vmuxregsre"
# Error: COMP96_0056: ebr_syclk.vho : (23, 3): Cannot find referenced 
entity declaration "vmuxregsre".
# Compile Entity "vcc"
# Error: COMP96_0055: ebr_syclk.vho : (40, 13): Cannot find referenced 
context element.
# Compile Architecture "Structure" of Entity "vcc"
# Error: COMP96_0056: ebr_syclk.vho : (49, 3): Cannot find referenced 
entity declaration "vcc".
# Compile Entity "inverter"
# Error: COMP96_0055: ebr_syclk.vho : (62, 13): Cannot find referenced 
context element.
# Compile Architecture "Structure" of Entity "inverter"
# Error: COMP96_0056: ebr_syclk.vho : (71, 3): Cannot find referenced 
entity declaration "inverter".


ich bin gerade etwas überfordert mit dem simulator weil ich mich damit 
absolut nicht auskenne und mich da auch nicht zurecht finde. die 
simualtion habe ich immer aus dem ispLever gestartet.

im design browser hatte ich unter "structure" immer mehrere libs (z.b. 
auch machxo). jetzt sind nur so standard libs vorhanden.

kann ich den simulator irgendwie zurücksetzen?
weiss jemand wie ich das problem beheben kann?

mfg
Andi

von Andi Z. (duderino65)


Lesenswert?

hallo nochmal :-)

hat sich nun erledigt.
ich habe mir mal die vorhanden libs im active hdl angeschaut und da war 
die machxo lib aus einem verzeichnis des modelsim drin.
hab dann die richtige lib des active hdl angegeben und dann lief es 
wieder.

gibt es tutorials die beschreiben wie man mit den simulatoren umgeht? 
also diese über skripte laufen lässt? die tuts die ich gefunden hab, 
zeigen nur wie man ein projekt erstellt und vhdl datein einfügt. 
allerdings komm ich damit nicht so klar.


mfg

Andi

von SuperWilly (Gast)


Lesenswert?


Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.