Forum: FPGA, VHDL & Co. Testbench: Taktsignal um eine bestimmte Zeit verschieben


von Andi Z. (duderino65)


Lesenswert?

hallo,

ich habe hier folgenden code in meiner testbench:
1
sys_clk  : process is
2
begin
3
  sysclk33M <= '0', '1' after T_sys;        
4
  wait for 2*T_sys;         
5
  
6
end process;

ich möchte nun aber, dass dieses signal eine bestimmte zeit später 
startet. also eine bestimmte phasenverschiebung.

leider fällt mir nichts ein wie ich das machen soll. habe es schon mit 
einem enable signal versucht welches z.B. nach 7 ns aktiv wird. 
allerdings bringt das nichts, weil das signal bei 15ns trotzdem wieder 
toggelt. habe einen 33Mhz takt. also T_sys = 15ns.

wie kann man sowas machen??

mfg
Andi

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

So vielleicht:
1
sys_clk  : process 
2
begin
3
  sysclk33M <= '0';
4
  wait for 7 ns;         
5
  loop 
6
     sysclk33M <= not sysclk33M; 
7
     wait for T_sys;         
8
  end loop;
9
end process;

von Matthias G. (mgottke)


Lesenswert?

Versuchs mal so:
1
sys_clk  : process is
2
begin
3
4
  sysclk33M <= '1';
5
  wait for 0.5*T_sys;
6
  sysclk33M <= '0', '1' after T_sys;
7
  wait for 1.5*T_sys;
8
9
end process;

von Andi Z. (duderino65)


Lesenswert?

hallo,

dankeschön!!

tut beides :-)

mfg

Andi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.