Forum: Mikrocontroller und Digitale Elektronik Ausgangssignal Oszillator


von Philipp H. (swissrookie)


Lesenswert?

Guten Morgen

Für eine kleine Schaltung mit einem FPGA verwende ich diesen Oszillator:

http://ch.farnell.com/euroquartz/32-000mhz-xo91050uita/osc-5x7mm-smd-cer-32-000mhz/dp/1640949?Ntt=1640949

Gemäss Datenblatt und meinem Verständnis für Oszillatoren muss ich doch 
beim Ausgang ein Logiksignal erhalten, welches zwischen 10% und 90% von 
Vcc schwingt?
Am offenen, unbelasteten Ausgang des Oszis messe ich aber ein 
Sinussignal zwischen 1V und 2.2V (bei 3.3V Versorgung)...

Kommt das Signal bei Belastung (Clockeingang) runter? Ich möchte einfach 
sicher sein das es läuft, bevor ich das FPGA montiere.


Philipp

von Michael U. (amiga)


Lesenswert?

Hallo,

Philipp H. schrieb:
> Gemäss Datenblatt und meinem Verständnis für Oszillatoren muss ich doch
> beim Ausgang ein Logiksignal erhalten, welches zwischen 10% und 90% von
> Vcc schwingt?
> Am offenen, unbelasteten Ausgang des Oszis messe ich aber ein
> Sinussignal zwischen 1V und 2.2V (bei 3.3V Versorgung)...

Womit gemessen?
Oszi mit mindestens 200MHZ Bandbreite?

Gruß aus Berlin
Michael

von Philipp H. (swissrookie)


Lesenswert?

TDS2024, 200MHz, 2GS/s auf 4 Kanälen

von Benedikt K. (benedikt)


Lesenswert?

Schafft der Tastkopf auch die 200MHz?
Ist dieser ohne große Masseschleife HF tauglich angeschlossen?

von Philipp H. (swissrookie)


Lesenswert?

Ha, Bingo!
Mein Tastkopf schafft die 200MHz nur im 10x Modus. Auf 1x ist die 
Bandbreite auf 6MHz beschränkt.
Jetzt sehe ich ein optimales Signal. Danke für die Hinweise!

Grüsse
Philipp

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.