Forum: FPGA, VHDL & Co. Problem Ripple-Carry-Adder


von Sonic 2. (sonic2k)


Lesenswert?

Hi,
ich versuche gerade einen 4-Bit Ripplecarrayaddierer zu implementieren, 
der einen bereits funktionierenden Volladdierer benutzt. Ich habe 4 
Volladdierer erzeugt und versucht diese zu verdrahten, allerdings gibt 
mir die Xilinx Web ISE merkwürdige Fehlermeldungen aus.

architecture Behavioral of rcadder is

  signal C STD_LOGIC_VECTOR(3 downto 0);             -- Zeile 40

begin

a0: fadder port map(A(0), B(0), CIn, C(0), Sum(0));        -- Zeile 44
stage: for I in 1 to 2 generate
  as: fadder port map(A(I), B(I), C(I-1), C(I), Sum(I));
end generate stage;
a3: fadder port map(A(3), B(3), C(2), COut, Sum(3));

end Behavioral;

Die Fehlermedlungen lauten:

Line 40: Syntax error near STD_LOGIC_VECTOR.
Line 44: <b> is already declared in this region.
Line 44: Syntax error near (.
Line 46: <b> is already declared in this region.
Line 48: <b> is already declared in this region.
Line 48: Syntax error near (.

Weiß jemand wie man diese Fehler behebt?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Line 40: Syntax error near STD_LOGIC_VECTOR.
Da fehlt ein Doppelpunkt...

> Line 44: Syntax error near (.
Das ist offenbar ein Folgefehler...

> Weiß jemand wie man diese Fehler behebt?
Immer die erste Fehlermeldung beheben und den Prozess neu starten.
Ein VHDL Buch durchlesen und verstehen, der Rest ist üben, üben, üben...

von Sonic 2. (sonic2k)


Lesenswert?

Danke, jetzt sagt er mir

<fadder> is not declared.
Unit <behavioral> ignored due to previous errors.

Ich hab ein bisschen gegoogelt und habe folgendes probiert.

use work.fadder;

aber leider funktioniert das nicht. Wie deklariere ich den Volladdierer 
in der Ripplecarryaddierer Datei denn sonst?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Probiers mal mit component

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.