Forum: Mikrocontroller und Digitale Elektronik Eingangsspannung > Ausgangsspannung bei DAC?


von I_has_17_cats (Gast)


Lesenswert?

Hallo,

ich möchte einen 10 Bit DAC von Analog verwenden (AD5611).
Das ist ein über SPI gefütteter DAC.  Eventuell verwende ich stattdessen 
einen von National.

Frage: Kann ich, selbst wenn die Versorgungsspannung nur 2,7 V beträgt 
(laut Datenblatt das Minimum), an die Eingänge des DAC die Ausgänge 
eines FPGAs ranlegen(LVCMOS 3,3V). Die Spannung an den Eingängen ist 
also größer als die Versorgungsspannung.

Leider steht im Datenblatt nix von CMOS oder max. Eingangsspannung. 
Lediglich welche Minimalspannung als High und welche Maximalspannung 
noch als low erkannt wird.

Der max. Eingangsstrom ist mit 2 uA ohnehin 4 mal so groß, wie nach 
LVCMOS und LVTTL 3,3V Spezifikation vorgegeben. Das ist aber unkritisch.

Ich muss mit dem DAC Spannungen bis 1,8 V erzeugen können. Deshalb 
tendiere ich zu einem 1,8 V Vdd DAC von National. Hier stellt sich aber 
die selbe Frage.

Besten Dank für jede Hilfe

von Purzel H. (hacky)


Lesenswert?

Abhaengig von der Geschwindigkeit kann man einen 1k..10k in jede Leitung 
machen.

von I_has_17_cats (Gast)


Lesenswert?

Ich verstehe die Antwort nicht recht. Ein Vorwiderstand bringt mich 
nicht weiter. Der Eingangsstrom beträgt 2uA max. Ich bräuchte also 500 
kOhm um von 3,3 V Eingangsspannung auf 2,3 V runterzukommen. Außerdem 
ist der Eingangsstrom nicht so klar defininiert

von Flo (Gast)


Lesenswert?

vlt n Spannungsteiler ?
Willst ja bloss in die eine Richtung vom FPGA zum DAC Signale senden.

von I_has_17_cats (Gast)


Lesenswert?

Das ist nicht die Antwort auf die Frage. Die Frage war, kann ich das 
ganze auch direkt anschließen.

von Michael U. (amiga)


Lesenswert?

Hallo,

I_has_17_cats schrieb:
> Hallo,
>
> ich möchte einen 10 Bit DAC von Analog verwenden (AD5611).
> Das ist ein über SPI gefütteter DAC.  Eventuell verwende ich stattdessen
> einen von National.
>
> Frage: Kann ich, selbst wenn die Versorgungsspannung nur 2,7 V beträgt
> (laut Datenblatt das Minimum), an die Eingänge des DAC die Ausgänge
> eines FPGAs ranlegen(LVCMOS 3,3V). Die Spannung an den Eingängen ist
> also größer als die Versorgungsspannung.
>
> Leider steht im Datenblatt nix von CMOS oder max. Eingangsspannung.
> Lediglich welche Minimalspannung als High und welche Maximalspannung
> noch als low erkannt wird.

Steht doch eindeutig drin:
Absolute Maximum Ratings:
Digital Input Voltage to GND: -0.3V to VDD + 0,3V

Gruß aus Berlin
Michael

von I_has_17_cats (Gast)


Lesenswert?

Oh herzlichen Dank, ich hab das Datenblatt 3 mal durchgeguckt und es 
immer wieder übersehen.

Manchmal hab ich wohl ein Brett vorm Kopf, oder den Augen...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.