Forum: FPGA, VHDL & Co. Ausgaenge schalten nicht im Simulator


von SiO2 (Gast)


Angehängte Dateien:

Lesenswert?

Hi, Im Simulator werden die Ausgaenge oc1, oc2 und tout nicht 
geschalten.
oc* sind U und tout wechselt nach der ersten H/L Flanke von U auf X.
Wo liegt mein Fehler?

Thnx

von Fragezeichen (Gast)


Lesenswert?

In welchem Simulator??

von SiO2 (Gast)


Lesenswert?

Upps, sorry. Ist isim vom ISE Webpack, und natuerlich nutze ich auch das 
Webpack von Xilinx.

von SiO2 (Gast)


Lesenswert?

Update: Version 11.4.
Beim g*gln hab ich nur infos gefunden, daß man die i/o vorbelegen 
sollte, was ich ja gemacht habe.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Wo liegt mein Fehler?
Probiers mal damit:
    variable cnt : std_logic_vector (3 downto 0) := "0000";

von SiO2 (Gast)


Lesenswert?

Danke, das einzige was ich in der Vorbelegung vergessen habe. Ich habe 
zwar noch paar Probleme, aber werde erstmal selber weiterforschen. Es 
sind teilweise noch zwischendrin X enthalten.

Aber eine Frage hab ich noch, kann man den Simulator, wenn er gestartet 
ist neu laufen lassen, mit änderungen am Code, ohne daß er neu gestartet 
wird?

thnx

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Aber eine Frage hab ich noch, kann man den Simulator, wenn er gestartet
> ist neu laufen lassen, mit änderungen am Code, ohne daß er neu gestartet
> wird?
Dazu mußt du den geänderten Sourcecode neu compilieren (Tollbar-Button) 
und dann am einfachsten das Kommando "restart" und danach das Kommando
z.B. "run 1000 ns" in der Kommandozeile eingeben.

von SiO2 (Gast)


Lesenswert?

Besten Dank.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.