Forum: FPGA, VHDL & Co. Mehrere Generics, geht das?


von Jens B. (sio2)


Lesenswert?

Hi, ich würde gerne mehrere Generics nutzen, aber xst meckert
"Line 33. parse error, unexpected GENERIC, expecting END"
Bei folgendem Code:

entity pwm is
generic(wth: positive := 64);

generic(width : positive :=3*9);

    Port ( clock : in  STD_LOGIC :='0';
              spi_en : in  STD_LOGIC;
        spi_data: in std_logic;
        spi_clock : in std_logic;
        reset : in std_logic;
        led : out std_logic_vector(width-1 downto 0);

          AWAKE : inout std_logic :='0' ); -- led awake

end pwm;

Geht das mehrere Generics zu verwenden, wenn ja wie?  Eigentlich sollte 
das gehen.

von user (Gast)


Lesenswert?

entity pwm is
generic(wth: positive := 64;
width : positive :=3*9);

    Port ( clock : in  STD_LOGIC :='0';
              spi_en : in  STD_LOGIC;
        spi_data: in std_logic;
        spi_clock : in std_logic;
        reset : in std_logic;
        led : out std_logic_vector(width-1 downto 0);

          AWAKE : inout std_logic :='0' ); -- led awake

end pwm;

so sollte es gehen, generics werden wie ports behandelt :)

von Jens B. (sio2)


Lesenswert?

Danke. Alle Beispiele die ich gefunden habe , hatten nur 1 generic drin. 
Und auf diese idee bin ich nicht gekommen, obwohl es logisch wäre.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.