Forum: FPGA, VHDL & Co. Ein Signal mit anderem Signal initalisieren möglich?


von AndytheBeginner (Gast)


Lesenswert?

Hallo,


ist es möglich ein Signal mit einem anderem zu initalisieren.

Z.B
1
Signal Addresse        : std_logic_vector(5 downto 0):= Start_Addresse;
2
Signal Start_Addresse  : std_logic_vector(5 downto 0);



Die Start_Addresse weise ich dann ein Wert noch zu.



Gruß

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> ist es möglich ein Signal mit einem anderem zu initalisieren.
Nein, das ginge bestenfalls über einen Generic.
Stell dir mal vor: wie sollte das mit Flipflops und Kombinatorik 
abgebildet werden können? Denn nur diese Komponenten hast du in einam 
FPGA.

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

Mit einer Konstanten geht auch eine Intialisierung.

Wenn es ein Abbild von einem anderen Signal ist, dann nutze
1
alias
 statt
1
 signal
.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.