Forum: Mikrocontroller und Digitale Elektronik S1D13705 an M16C/62P


von Hermann (Gast)


Lesenswert?

Hallo zusammen!

Obiges Thema oder zumindest ähnliche wurden schon in verschiedenen
Threads diskutiert und ich denke, ich habe auch alle Tips und Tricks
befolgt - dennoch folgendes Problem:

Der Lesezugriff vom M16C auf den S1D funktioniert problemlos, auch der
Schreibzugriff auf die Register klappt prinzipiell; allerdings
kommt dort nicht das an, was ankommen sollte.
Auf das Ram des S1D kann ich gar nicht schreibend zugreifen.
Zumindest sehe ich keine Reaktion.

Könnte mir vorstellen, dass es sich um ein Timing-Problem handelt,
obwohl ich sowieso schon sehr langsam takte (s.u.).

Konfiguration
M16C:
- 12Mhz Quarz, PLL Taktverdopplung
- Memory-Expansion-Mode
- 16Bit Bus
- BCLK 24/4MHz
- /RDY-Leitung im Einsatz
- CS0-Leitung

S1D13705:
- Generic#1 Mode
- Little Endian

Hätte vielleicht jemand eine Idee?

von judge (Gast)


Lesenswert?

Hallo,

wir haben den S1D13705 mit M16C/80 und M32C/83 bei 20MHz (auch BCLK) am
laufen.
Zu den Timing-Problemen: Mit 2 wait states und einem 74HC74 zur
Verlängerung des /RDY-Signals funktioniert das sehr gut.

von Hermann (Gast)


Lesenswert?

Hat sich tatsächlich um ein Timing-Problem gehandelt.
Hab das /RDY ein wenig hinausgezögert und nun funktionierts auch
mit einem BLCK von 24MHz.

von Sucher (Gast)


Lesenswert?

Weitere Fragen:

/Wait-Signal von Grafik-Controller invertiert zu /Ready-Signal auf dem
M16C?
Wie verzögert und wieviel?

von Finder (Gast)


Lesenswert?

Ob er da nach 2 Jahren noch was mit anfangen kann? Letzter Beitrag war
12.10.2004 16:57!

von Immer noch sucher (Gast)


Lesenswert?

Vielleicht!

von Judge (Gast)


Lesenswert?

Falls es noch relevant ist:
Das /Wait vom Grafikcontroller wird mit einem 74HC74 (D flip-flop) um 
einen Takt verzögert (BCLK als Trigger) und an das /RDY vom M16C 
angelegt. Invertiert wird da nichts.
Ich habe auch schon eine Lösung gesehen wo das mit Diode und kleinem 
Kondensator realisiert wurde, ist aber nicht sonderlich zuverlässig.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.