Forum: FPGA, VHDL & Co. Microblaze (Reset?) Problem


von Philip (Gast)


Lesenswert?

Servus,

Ich habe ein Design mit einem Microblaze Softcore (als Netzliste 
eingebunden) auf einem Virtex-5. Die Software verhält sich nach dem 
Start so, dass sie nach einer Initialisierungsphase einen GPIO setzt um 
zu anzuzeigen dass sie bereit ist.

Jetzt habe ich folgendes Problem:

Nach manchen Synthesedurchläufen (Ohne Änderungen am Softcore) kommt 
dieses Signal nicht. Dies ist allerdings nur der Fall, wenn die Software 
sich bereits im Bitstream befindet. Lade ich sie per SDK Debugger nach, 
ist alles so wie es sein soll. Hin und wieder gibt es aber auch 
Synthesedurchläufe bei denen alles ok ist.

Ich vermute mal es handelt sich um ein Resetproblem, kanns mir aber 
leider nicht wirklich erklären. Der Softcore Wrapper enthält unter 
anderem eine Reset-Logik, die über einen externen Reseteingang verfügt, 
den ich von aussen (synchron) zuführe. Außerdem gibt es noch einen 
DCM-locked Eingang, den ich konstant auf high setze.

Wer weiß Rat?

von Duke Scarring (Gast)


Lesenswert?

Philip schrieb:
> Ich vermute mal es handelt sich um ein Resetproblem,
Klingt ganz danach.

> Der Softcore Wrapper enthält unter
> anderem eine Reset-Logik, die über einen externen Reseteingang verfügt,
> den ich von aussen (synchron) zuführe. Außerdem gibt es noch einen
> DCM-locked Eingang, den ich konstant auf high setze.

Verwendest Du denn eine DCM?
Evtl. hilft es den Reset über ein Schieberegister noch ein paar Takte zu 
verzögern.

Duke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.