Forum: FPGA, VHDL & Co. Choice XXX is not a locally static expression


von Thomas B. (thomas1)


Lesenswert?

Guten Tag

Ich habe ein ähnliches Problem wie schon andere. Bei mir spuckt das ISE 
Webpack beim Synthetisieren die Warnung aus:

Choice ac_rlg_sig_d45 is not a locally static expression.

Aber ac_rlg_sig_d45 ist ein Array von Konstanten, die im gleichen 
Prozess definiert wurden.
Hier ist mein Code:
1
subtype sva_rlg_state is STD_LOGIC_VECTOR (7 downto 0);
2
type a_rlg_state is array (5 downto 0) of sva_rlg_state;
3
constant ac_rlg_sig_d45: a_rlg_state :=  
4
   (
5
    x"02", x"06", x"04", x"05", x"01", x"03"
6
   );
Dann die Case-Verzweigung:
1
case sv_rlg is
2
   when ac_rlg_sig_d45(4) => es_rlg_dir <= vor;
3
   when ac_rlg_sig_d45(0) => es_rlg_dir <= rueck;
4
   when others => es_fb_type <= wait_for_signal;
5
end case;

Könnte es am Index liegen, der ändern könnte? Mir ist zwar nicht klar, 
was daran nicht statisch ist!

Danke für jede Hilfe!

Gruss Thomas

PS: Entschuldigung für die langen Variablennamen! Aber da ich an einem 
ersten mittelgrossen Projekt bin, habe ich mir vorgenommen, die 
Variablen möglichst eindeutig zu wählen, auch wenn sie dann lang werden.
Übrigens brauche ich immer so eine Art Zuordnung, also für ein Signal 
ein s_Signalname, für ein Signalvektor ein sv_Signalname, für ein Array 
ein a_Arrayname usw. Oder hat jemand eine schlauere und übersichtlichere 
Methode?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Welchen Typ hat sv_rlg?

von Thomas B. (thomas1)


Lesenswert?

Es ist ein
1
STD_LOGIC_VECTOR(7 downto 0)

von Marcus H. (mharnisch) Benutzerseite


Lesenswert?

Array slices sind lediglich globally static.

Siehe auch
http://www.vhdl.org/comp.lang.vhdl/FAQ1.html#static

oder

IEEE1076-2002, sec. 7.4.2

--
Marcus

von Maxim (Gast)


Lesenswert?

Warum machst du es so kompliziert?

warum nicht einfach:

type STATE_type  is (
  RESET, STATE1, STATE2...);

signal  STATE: STATE_type;


Nichtsdestotrotz kann ich den Fehler auch nicht nachvollziehen...

Gruß,
Maxim

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.