Forum: FPGA, VHDL & Co. Schrittmottoransteuerung


von Sensor K. (Firma: Student) (sensor82)


Lesenswert?

Hallo Leute,
ich bin ja ganz neu im dem Dömaine FPGA und VHDL .
Kann mir jemand helfen?
Ich will eingentlich ein Schrittmotor mit FPGA ansteurn, nun habe ich 
keine Ahnung wie das Programm ausehen sollte.
Wie sieht dann bitte das Code dafür aus ??

Danke im Voraus.

Sensor

von mac4ever (Gast)


Lesenswert?

Mit solch einem sinnfreien Post wirst Du hier sicherlich keiner Hilfe 
erhalten. Hast Du schon mal versucht dir deine eigenen Gedanken zu 
machen oder einfach nach existierenden Implementierungen gesucht? 
http://www.gidf.de

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Wie sieht dann bitte das Code dafür aus ??
Wie sieht denn deine Hardware aus?
Welches FPGA?
Woher kommen die Daten: Schrittanzahl, Beschleunigung?
Sollen mehrere Achsen gekoppelt werden?

von Andreas (Gast)


Lesenswert?

Hallo Sensor Kit,

wenn Du keine Ahnung hast wie das Programm aussehen sollte, wie soll Dir 
bitteschoen ein anderer den Weg zeigen...
In nur 5Zeilen teilst Du dem geeigneten Leser mit:

1) In VHDL beschreibt man per se keine Programme, dies ist eine Area 
fuer Software - Hast Du nur die Begriffe durcheinandergeworfen? Knapp 
hundert Prozent der Leute die zuvor mit der Softwareprogrammierung 
infiziert wurden, haben mit der Art und Weise wie FPGAs funktionieren 
und beschrieben werden zu Anfang Ihre Probleme (Paradigmenwechsel).
2) Was fuer eine Art von Schrittmotoren, unipolar, bipolar, wieviel 
Polig ist der Schrittmotor.
3) Vollschritt, Halbschritt etc.
4) Du schreibst Ich will eigentlich. Frage: und uneigentlich?
5) Du solltest erst einmal 3 Gaenge zurueckschalten und die 
Grundbegriffe lernen:
- Lerne VHDL von der Peake auf
- Besorge Dir ein FPGA ( oder EPLD) Evalboard
- Starte deine Versuche mit dem "Hello World" der Elektronik: Blinkende 
Led
- Suche nach Literatur wie so ein Schrittmotor funktioniert.

Fragen nach dem letzten Schritt haben dann sicherlich mehr Aussicht auf 
Erfolg.
Auf Opencores-ORG wirst Du eine Schrittmotor IP finden. Ich fuerchte 
nur, das wird Dir bei deinem Kenntnisstand noch nicht weiterhelfen.

Gruss Andreas

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Etwas OT:
> Lerne VHDL von der Peake auf
Die Pike gabs schon lange vor Anglizismen versuchten, sie zu 
verdrängen ;-)
http://www.redensarten-index.de/suche.php?suchbegriff=~~etwas%20von%20der%20Pike%20auf%20gelernt%20haben%20&bool=relevanz&suchspalte[]=rart_ou

von Sensor K. (Firma: Student) (sensor82)


Lesenswert?

Hallo ,
schonmal danke für Ihre Beiträge.
mein Motor ist ein Bipolare Hybridschrittmotor.
Daten: 60mm Schrittmotor 1,8°, 2,8A, 1,1Nm
Typ: 
http://www.conrad.de/ce/de/product/197647/60MM-SCHRITTMOTOR-18-28A-11-NM

Und mein FPGA ist ein Cortex-M1 IGLOO von Actel

Gruß

von mac4ever (Gast)


Lesenswert?

Und noch immer kein einziger Lösungsansatz von deiner Seite aus ... 
eigentlich eine Schande, dass auf solche Einträge überhaupt reagiert 
wird. Zu faul zum Suchen! Google -> step motor vhdl -> fertiger Code en 
masse

z.B.: http://www.opencores.org/project,steppermotordrive

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.