Forum: FPGA, VHDL & Co. simulink hdl coder


von Peter (Gast)


Lesenswert?

Hallo
ich benutze den HDL_Coder und generiere so einen cOde für eine FFT, 
allerdings komme ich mit den generierten Code nicht so zurecht, vor 
allem weiß ich nicht genau,wofür die Ports stehen, welche SIgnale 
daraufgehen. Sind die Ports irgendwo genauer definiert?

z.B:
ENTITY Butterfly IS
  PORT( clk                               :   IN    std_logic;
        reset                             :   IN    std_logic;
        enb_1_1_1                         :   IN    std_logic;
        twiddle_re                        :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En15
        twiddle_im                        :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En15
        multin_re                         :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        multin_im                         :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        dmultin_re                        :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        dmultin_im                        :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        bfin2sel                          :   IN    std_logic;
        bfin1_re                          :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        bfin1_im                          :   IN    std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        dbfout1_re                        :   OUT   std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        dbfout1_im                        :   OUT   std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        dbfout_2_re                       :   OUT   std_logic_vector(15 
DOWNTO 0);  -- sfix16_En12
        dbfout_2_im                       :   OUT   std_logic_vector(15 
DOWNTO 0)  -- sfix16_En12
        );
END Butterfly;

was z.B. ist dfin1_re usw....?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.