Forum: FPGA, VHDL & Co. VHDL Syntax: zugriff auf array's


von matzunami (Gast)


Lesenswert?

Hallo,

ich habe mal eine Frage zur VHDL Syntax. Ich habe ein 2D Array und 
möchte gerne auf ein Bit eines Wortes zugreifen. Geht dies nur wie unten 
beschrieben übereine zwischenvariable, oder kann ich auch irgendwie 
direkt darauf zugreifen, wie zB. 
q<=rom(to_integer(unsigned(Addr)))(Bitnummer) oder so ähnlich???;

type size is array (0 to 3) of std_logic_vector(7 downto 0);
signal rom : size := (
 x"01",
 x"12",
 x"23",
 x"34"
);
begin

process
 variable tmp : std_logic_vector(7 downto 0) := (others => '0');
begin
 wait until rising_edge(CLK);
 tmp := rom(to_integer(unsigned(Addr)));
 q <= tmp(0);
end process;

Danke.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> oder kann ich auch irgendwie direkt darauf zugreifen, wie zB.
> q <= rom(to_integer(unsigned(Addr)))(Bitnummer)   oder so ähnlich???;
Ja. Probiers einfach mal aus...  :-/

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.