Forum: FPGA, VHDL & Co. bit_vector in Integer umwandeln


von Sebastian G. (bingoxl)


Lesenswert?

Hallo Zusammen,

ich bin wirklich am verzweifeln! .. Den ganzen Nachmittag versuche ich 
jetzt schon, einen bit_vector in eine Integerzahl umzuwandeln! Wie gehe 
ich am Besten vor?

Ich habe den Bitvector... temp(12 downto 0)

Meine Idee war:
-Erst auf dem Bitvector einen Logicvector [ to_stdlogicvector(temp)] 
umzuwandeln.
-Den std_logicvector per conversion funktion in SIGNED bzw UNSIGNED 
umzuwandeln
-SIGNED bzw. UNSIGNED  mit to_integer in den gewünschten Integer 
umzuwandeln!

Es funktioniert aber leider nicht! Könnte mir hier bitte jemand 
weiterhelfen? Bin noch VHDL Noob!

Vielen Dank!

von D. I. (Gast)


Lesenswert?

warum verwendest du nicht gleich einen std_logic_vector?

dann geht folgendes auf alle fälle (numeric_std vorausgesetzt):

to_integer(unsigned(temp))

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Es funktioniert aber leider nicht!
Welcher von den dreihundert Schritten geht denn schief?
Wieviele Bibliotheken verwendest du denn?
Was bekommst du für Fehlermeldungen?

In der Praxis verwendet kein Mensch Bit-Vektoren (wobei Ausnahmen 
bestenfalls die Regel bestätigen)...
Nimm die numeric_std und deren Konvertierungsfunktionen:
http://www.lothar-miller.de/s9y/archives/14-Numeric_Std.html

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.