Forum: FPGA, VHDL & Co. Counterstand in der Simulation via Skript verändern


von Martin (Gast)


Lesenswert?

Hallo zusammen,

zur Verkürzung der Simulationsdauer möchte ich gerne einige Zählerstände 
überspringen, die für ein Timeout benötigt werden.

Konkret kann ich mir vorstellen, das über ein bedingtes Force zu tun, 
habe aber noch keine Möglichkeit gefunden, das über ein Skript 
einzubauen. In Pseudosyntax sieht das wie folgt aus:

when /design/submodule/counter = 5 {force 
/testbench/design/submodule/counter = 510; continue}

habt ihr eine Idee, wie ich das ganze hinbekommen kann?

Viele Grüße,
Martin

von Ottmar (Gast)


Lesenswert?

Du hast dir im Grunde die Antwort schon selber gegeben.
Es gibt bei msim ein Kommando namens "when" das bei eintreten einer 
Bedingung weiter Kommandos ausführt. Ist im Grunde eine art 
automatisierter breakpoint.

--> einfach in der command reference nachlesen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.