Forum: FPGA, VHDL & Co. Xilinx ISE Design Suite 12.1


von Jana F. (jana)


Angehängte Dateien:

Lesenswert?

Hi!
Ich arbeite gerade mit der Xilinx ISE Desing Suite 12.1 und bin da auf 
zwei Probleme gestoßen:

Wie sorge ich dafür, dass ein bestimmter Input/Outpur- Marker an einem 
bestimmten Pin liegt. z.b. PIN14?
Ich habe bis jetzt nur gefunden, dass man den Marker einfach umbenennen 
kann. (Zu P14 eben, aber da bin ich mir echt sehr sehr unsicher ob das 
stimmt.)

Meine zweite Frage ist, wie ich dafür sorgen kann, dass ein 
Ausgangssignal gleich an mehreren Outputs anliegt (um genau zu sein an 
32). Auf dem Bild sieht man mein Problem. Alles wird automatisch zu P15.

Ich arbeite mit dem XC2C128VQ100.


Wäre toll, wenn mir da jemand weiter helfen könnte

Schonmal liebe Grüße und Danke im voraus!
Jana

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> wie ich dafür sorgen kann, dass ein
> Ausgangssignal gleich an mehreren Outputs anliegt
Ich kenne mich mit der Schaltplaneingabe nicht aus, aber so wie ich 
CPLDs kenne, würde ich da mal Puffer dazwischenschalten...

von Christian R. (supachris)


Lesenswert?

Die Pins des FPGA/CPLD werden nicht im Schematic definiert, sondern in 
einer ucf Datei. Die kann man automatisch generieren lassen und über die 
GUI des PlanAhead die Pins zuweisen.

Mehrfach-Ausgang geht nur über Buffer und verschiedene Port-Namen. Jeder 
nach außen gehende Pin muss eineindeutig sein.

von Jana F. (jana)


Angehängte Dateien:

Lesenswert?

Vielen Dank für die Antworten bis jetzt!
Ich hab jetz ein wenig mehr probiert, aber klappen willl es noch nicht 
so ganz...

Oben habe ich den Plan mit Buffern erweitert.

Aber er meckert jetzt noch bei meier ucf Datei.

ERROR:ConstraintSystem - In file: fehlersammelkarte.ucf(4): Constraint 
'LOC' has
   a value '14' which is invalid. Use the following:
   Text that matches the regular expression: *i!:^(soft)|(hard)|(level)$
ERROR:ConstraintSystem - In file: fehlersammelkarte.ucf(4): Constraint 
'LOC' has
   a value '14', but the only acceptable values are one or more of the
   following:
   Text that matches the regular expression: *i:HARD
   Text that matches the regular expression: *i:SOFT

Das bei allen Pins durchgehend.

NET P14 LOC=14;

So sieht mein Kram ebenfür alle Pins aus. Muss da noch was anderes in 
der UCF Datei angegeben werden? Wie sieht eine denn überhaupt zum 
Beispiel aus?

Nochmal Danke für bekommene und zukünftige Hilfe
Grüße Jana

von Christian R. (supachris)


Lesenswert?

14 reicht nicht. Bei Chips im TQFP Gehäuse, also mit Anschlussbeinchen 
muss da P14 stehen, bei BGAs die richtige Bezeichnung des Balls, also 
Beispielsweise AB10.

von Jana F. (jana)


Lesenswert?

http://de.farnell.com/xilinx/xc2c128-7vqg100c/cpld-coolrunner-ii-128mcell-100vqfp/dp/1605829

Ich arbeite mit diesem hier. Also ist es ein TQFP?

Im Datenblatt stehen da leider nur Zahlenwerte wie 17 und bei den 
anderen Gehäusetypen sind da aber G14 angegeben...

von Christian R. (supachris)


Lesenswert?

VQ100 hat Beinchen. Also Die Pins in der Form P14 usw. angeben, dann 
klappts.
P wohl für Pin. Bei den BGA-Gehäusen muss man die G16 usw. benutzen.

von Jana F. (jana)


Lesenswert?

aaaaaaaaah okay vielen lieben dank, ich hoffe, dass es jetzt klappt

von Stefan (Gast)


Lesenswert?

>Bei den BGA-Gehäusen
BGAs werden in Zeilen und Spalten unterteilt
Zeilen sind Buchstaben und Spalten Zahlen
der Pin Oben links heist dann A1

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.