Forum: FPGA, VHDL & Co. Wie kann ich gezielt Register im CPLD nutzen?


von Ralph H. (guru)


Lesenswert?

Beim Erstellen des VHDL-Codes mit vielen Latches (Steuerregister) für 
einen XC9572 ist mir aufgefallen, dass ich trotz der Tatsache dass 
insgesamt intern 64bit für Latches verwendet werden, die Registernutzung 
bei nur 5% liegt (3 von 72).
Macht es nicht Sinn, diese Latches in die Register zu befördern ?
Ich hab nämlich jetzt das Fitterproblem (ebenfalls Frage hier im Forum) 
bei den letzten 3 Verknüpfungen... grr. also kurz vor dem Ziel.

Danke für Eure Hilfe sagt Ralph :-)

von Klaus F. (kfalser)


Lesenswert?

Was verstehst Du unter Registernutzung?
Wenn Du ein 64 Bit Latch hast, also braucht es 64 Macrozellen, die 
Ausnutzung des CPLDs kann nicht 3 von 72 sein.
Da ist irgendetwas faul.
Soviel ich mich erinnere, werden Latches über die Set und Reset Eingänge 
der Macrozellen erzeugt.
Kannst Du besser beschreiben was Du machst, eventuell den Code zeigen?

von Ralph H. (guru)


Lesenswert?

Klaus Falser schrieb:
> Wenn Du ein 64 Bit Latch hast, also braucht es 64 Macrozellen, die
> Ausnutzung des CPLDs kann nicht 3 von 72 sein.

Danke Klaus.. :-) ich habe mehrere Latches die mal 16 und mal 8bit breit 
sind. Hab auch schon grade ein paar Dinge vereinfacht und eine 
Registernutzung von 16% erreicht :-), weiß aber auch ehrlich gesagt 
nicht so Recht ob die besserer Registerauslastung mir was nützt.

Den Code kann ich mal posten, kein Problem.. hihi will den aber noch 
bereinigen vorher. Mag mich ja ni blamieren :D

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.