Habe eine kleine Frage, da ich mich erst seit ein paar Tagen mit VHDL beschäftige. Ich habe einen Baustein GAL20V8 und möchte damit einen Bit-Decoder realisieren. Das Programm dazu habe ich bereits erstellt. Leider weis ich nicht, wie ich die Pins des Bausteins im code so fixieren kann, dass sie mit meinen Vorgaben übereinstimmen. z.B: GAL Pin3 = in1 GAL Pin4 = in2 GAL Pin5 = in3 GAL Pin6 = in4 GAL Pin22 = out1 GAL Pin21 = out2 GAL Pin20 = out3 GAL Pin19 = out4 GAL Pin18 = out5 Code:
1 | library ieee; |
2 | use ieee.std_logic_1164.all; |
3 | use ieee.std_logic_arith.all; |
4 | use ieee.std_logic_unsigned.all; |
5 | |
6 | entity Decoder_Filter_ent is |
7 | PORT (in1, in2, in3, in4 :IN std_logic; |
8 | out1, out2, out3, out4, out5 :OUT std_logic;) |
9 | |
10 | |
11 | end; |
12 | |
13 | architecture Decoder_Filter_arch of Decoder_Filter_ent is |
14 | begin
|
15 | |
16 | out1 <= ((in2 and not in3 and not in4) or (not in1 and in2 and in3 and in4) or (not in1 and not in2 and in3 and not in4) or (not in1 and not in2 and not in3 and in4)); |
17 | out2 <= ((not in1 and not in2 and in3 and in4) or (in1 and in3 and not in4) or (in1 and in2 and not in4) or (not in1 and in2 and not in3 and in4)); |
18 | out3 <= ((in1 and not in2 and in4) or (not in2 and in3 and not in4) or (not in1 and in2 and in4)); |
19 | out4 <= ((not in2 and not in3 and in4) or (not in1 and not in3 and in4) or (in2 and in3 and not in4)); |
20 | out5 <= ((not in2 and in3 and in4) or (not in1 and in3 and in4) or (in1 and in2 and not in3 and in4)); |
21 | |
22 | |
23 | end Decoder_Filter_arch; |
Danke für Eure Hilfe