Forum: FPGA, VHDL & Co. multiplikation mit unterschiedlichen signalbreiten


von Ralf (Gast)


Lesenswert?

Hallo Zusammen,

muss man bei einer multiplikation in VHDL darauf achten dass die beiden 
Faktoren die gleiche "Bit-Breite" haben? :

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

...

architecture test of blaentity is
...
 signal A : unsigend(5 downto 0);
 signal B : unsigend(15 downto 0);
 signal C : unsigned(21 downto 0);
...

begin

..

Y <= A * B;     -- ist das korrekt?
--Y <= ("0000000000" & A) * B;     -- oder ist das korrekt?

..

end test;

von Udo (Gast)


Lesenswert?

Nein. Das Ergebnis ist so breit wie es eben sein muss.
In der HW sieht es real anders aus, weil die Multiplier feste 
Dimensionen haben.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.