Forum: FPGA, VHDL & Co. IBUF und OBUF bei Xilinx CPLD


von Thorsten (Gast)


Lesenswert?

Hallo,

bin gerade dabei, mit dem Xilinx Webpack eine Schaltung in einem XC9536
zu implementieren. Da ich kein VHDL o. ä. beherrsche, benutze ich das
grafische Tool was ja auch ausreichend ist.

Kann mir jemand erklären, wozu ich IBUF (input buffer) und OBUF (output
buffer) benötige? Die Buffer mit Enable haben ja noch Sinn, da - wenn
disabled - die Ausgänge hochohmig sind. Aber wann setze ich normale
Buffer ein?

Freue mich auf eure Antworten.

Gruß
Thorsten

von OTobias (Gast)


Lesenswert?

Sind denn nicht die IBUF und OBUF für FPGA's gedacht ?

von Mattias (Gast)


Lesenswert?

Ich weiss auch nicht, wozu die da sind. Habe bis jetzt immer die Pins
direkt angeschlossen. Das würde mich allerdings auch interessieren.

Mattias

von Blubb (Gast)


Lesenswert?

Evtl. sind damit die IO-Typen (entity) "buffer" und "inout" von VHDL
gemeint??

von OTobias (Gast)


Lesenswert?

Das habe ich unter language template gefunden. Also spezielle Input
Output templates für Virtex Architecture.


-Special Virtex input buffers
--Replace the XXX with the appropriate I/O standard
--See XAPP 133 for more details



-- INPUT_PORT : in STD_LOGIC;

--**Insert the following between the
--  'architecture' and 'begin' keywords**

signal INT_SIG:  std_logic;
component IBUF_XXX
      port (I: in std_logic; O: out std_logic);
end component;

--**Insert the following after the 'begin' keyword**

U1: IBUF_XXX port map (I => INPUT_PORT, O => INT_SIG);

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.