Forum: FPGA, VHDL & Co. Bidirektionale SRAMDATA


von Keller T. (fabito)


Lesenswert?

Hallo zusammen,

Für mein SRAM Controller habe ich folgende Lattice Module benutzt um 
bidirektionale sramdata zu entwerfen:

`resetall
`timescale 1 ns / 1 ps

`celldefine

module BB (I, T, O, B);
  input  I, T;
  output O;
  inout  B;

  supply1 TSALL;

//  tri1 TSALL = TSALL_INST.TSALLNET;

  not INST0 (TN, T);
  and INST1 (ENH, TN, TSALL);

  buf INST10 (O, B);
  bufif1 INST14 (B, I, ENH);


endmodule

Im Testbench folgende

genvar i;
   wire [SRAM_ADDR_WIDTH-1:0] sram_data_in;
  reg [SRAM_ADDR_WIDTH-1:0] sram_data_out;
    generate
      for (i=0; i< SRAM_DATA_WIDTH; i=i+1)
        begin: u1
        BB bidi_cell
          (.I(sram_data_out[i]),
           .T(sram_wen),
           .O(sram_data_in[i]),
           .B(sram_data[i])
          );
        end
    endgenerate

Wo sind TN, T, ENH, TSALL  zu finden? Weil ich das Gefühl habe dass nur 
eine Richtung funktionsfähig ist.

Simulation Output
# Info: VCP2876 BB.v : (39, 1): Implicit net declaration, symbol TN has 
not been declared in module BB.
# Info: VCP2876 BB.v : (40, 1): Implicit net declaration, symbol ENH has 
not been declared in module BB.

von Ale (Gast)


Lesenswert?

Ich würde http://www.asic-world.com/verilog/veritut.html herzlich 
empfelen !

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.