Forum: FPGA, VHDL & Co. VHDL - Type error


von Muddin (Gast)


Lesenswert?

Hallo!

Es geht um ein Zähler, bei dem ich folgendes Problem habe:

signal Q_INT: bit_vector(3 downto 0) := "0000";
...
Q_INT <= Q_INT + 1;

Wenn ich das so schreibe, bekomme ich folgende Fehlermeldung:

** Error:  No feasible entries for infix operator "+".
** Error:  Type error resolving infix expression "+".

Sowohl im Buch als auch in unserem Skript zur Vorlesung wird das aber
so benutzt...nur bei mir funktioniert es nicht. Wieso?

von Cpt (Gast)


Lesenswert?

Hallo

um die Operation benutzen zu können mußt du auf jedenfall mit:

use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

die Bibliotheken einbinden.

von Muddin (Gast)


Lesenswert?

Vielen Dank!!!

War echt kurz vorm Verzweifeln;)

Danke nochmal!

von Cpt (Gast)


Lesenswert?

:-) kein Problem

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.