Forum: Analoge Elektronik und Schaltungstechnik 7812 beim einschalten nur 1V


von Megavolt (Gast)


Lesenswert?

Hi Leute!

Auf meiner Platine befindet sich (hinter Trafo, Gleichrichter, 
Glättungskondensator) ein 7812 und 7912 zur Spannungsversorgung. Beim 
ersten Einschalten erzeugt der 7812 aber nur 1V (Trotz ausreichender 
Eingangsspannung). Schaltet man dann kurz aus und wieder an, liefert er 
die gewünschten 12V. Habe schon andere Platinen getestet, auf Brücken 
und Fehler untersucht und fast alle Bauteile (4066, TL081, L165, etc.) 
abgeklemmt (habe nur ein paar Widerstände und 100nF (für ICs) 
drangelassen), der Fehler tritt trotzdem auf. Die Schaltung habe ich 
vorher schon sehr oft ohne Probleme genutzt und dieser Fehler des 7812 
ist mir sehr suspekt. Ich habe auch unterschiedliche 7812er getestet.
Hat jemand von euch vielleicht schonmal etwas Ähnliches erlebt und weiß 
wie ich das Problem lösen kann?
Vielen Dank für eure Hilfe und Tipps im Voraus!

von (prx) A. K. (prx)


Lesenswert?

Befinden sich Dioden in Sperrichtung hinter den 7812/7912 jeweils 
zwischen Ausgang und GND?

von ArnoR (Gast)


Lesenswert?

Bei großer Differenz zwischen Ue und Ua (also genau im Einschaltmoment) 
reduziert der interne SOA-Schutz den Ausgangsstrom deutlich. Evtl. kann 
die Schaltung daher nicht starten. Womöglich zu große Last und/oder 
Lastkapazität?

von (prx) A. K. (prx)


Lesenswert?


von Kai K. (klaas)


Lesenswert?

>Befinden sich Dioden in Sperrichtung hinter den 7812/7912 jeweils
>zwischen Ausgang und GND?

Beim Latch-Up bleibt gewöhnlich eine leicht negative Spannung am Ausgang 
des LM7812 kleben, so um die -0,6V. +1V läßt eher darauf schließen, daß 
dem Ausgang des LM7812 beim Hochfahren zuviel Strom abverlangt wurde und 
er in die Strombegrenzung gegangen ist.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.