Hallo zusammen,
bei einem AXI Peripheriemodul sollen zwei Signale extern werden.
Dazu habe ich mit dem XPS-Wizard eine Peripherie erstellt und in der
Entity das Folgende hinzugefügt:
1 | port
|
2 | (
|
3 | -- ADD USER PORTS BELOW THIS LINE ------------------
|
4 | enable : in std_logic;
|
5 | data : out std_logic_vector(31 downto 0);
|
6 | -- ADD USER PORTS ABOVE THIS LINE ------------------
|
7 | ...
|
8 | );
|
In XPS im Ports-Reiter (System Assembly View), werden diese aber nicht
angezeigt. Dort wird nur angezeigt md_error, m_axi_aclk und s_axi_aclk.
Ein "Rescan User Repositories" habe ich schon versucht.
Hat jemand eine Idee wieso? :)
Schönen Danke,
Jan