beschreibung des programms siehe code. hier die fehlermeldung:
(45,7-45,11) ERROR: (VHDL-1261) syntax error near loop
(46,14-46,25) ERROR: (VHDL-1272) type error near korrigierer ; expected
type void
(47,5-47,17) ERROR: (VHDL-1261) syntax error near architecture
(47,18-47,26) ERROR: (VHDL-1272) type error near behavior ; expected
type void
1 | entity ham_korrigierer is
|
2 |
|
3 | port( AE: in std_logic_vector (4 downto 0); -- eingegebene bits
|
4 | AF: in std_logic_vector (4 downto 0); -- fehlerhafte bits
|
5 | AK: out std_logic_vector (4 downto 0) -- korrigierte bits auf Dioden D1 - D5
|
6 | );
|
7 | end ham_korrigierer;
|
8 |
|
9 | architecture behavior of ham_korrigierer is
|
10 | begin
|
11 | korrigierer: process (AE, AF) is
|
12 | begin
|
13 |
|
14 | for i in 4 downto 0 loop
|
15 |
|
16 | if (AF(i) = '1') then
|
17 | if (AE(i) = '1') then
|
18 | AK(i) <= '0';
|
19 | end if;
|
20 | if (AE(i) = '0') then
|
21 | AK(i) <= '1';
|
22 | end if;
|
23 |
|
24 | if (AF(i) = '0') then
|
25 | AK(i) <= AE(i);
|
26 | end if;
|
27 |
|
28 | end loop;
|
29 | end process korrigierer;
|
30 | end architecture behavior;
|
ich wäre dankbar für hinweise wo genau ich etwas ändern müsste