Hallo,
für die Entity und deren Architecture
1 | entity s is
|
2 | port
|
3 | (
|
4 | a: in std_logic;
|
5 | b: out std_logic
|
6 | );
|
7 | end s;
|
8 |
|
9 | architecture v1 of s is
|
10 | begin
|
11 | b <= not a;
|
12 | end v1;
|
führt folgende Testbench zu einer Endlosschleife in der Simulation:
1 | library ieee;
|
2 | use ieee.std_logic_1164.all;
|
3 | use ieee.numeric_std.all;
|
4 |
|
5 | entity testbench IS
|
6 | END testbench;
|
7 |
|
8 | architecture v1 of testbench is
|
9 | component s
|
10 | port
|
11 | (
|
12 | a: in std_logic;
|
13 | b: out std_logic;
|
14 | );
|
15 | end component;
|
16 |
|
17 | signal a: std_logic := '0';
|
18 | signal b: std_logic := '0';
|
19 | --constants not working?
|
20 | constant ct: time := 1 ms;
|
21 | begin
|
22 | a <= not a after ct;
|
23 | dut: s
|
24 | port map
|
25 | (
|
26 | a => a,
|
27 | b => b,
|
28 | );
|
29 | end architecture;
|
Die Endlosschleife tritt aber nicht auf, wenn man ct durch 1 ms ersetzt.
Worin liegt der Fehler?
Ich benutze GHDL und wollte die Simulation GTKwave ansehen.