1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 |
|
4 | library UNISIM;
|
5 | use UNISIM.VCOMPONENTS.ALL;
|
6 |
|
7 | entity MicroBlaze_top is
|
8 | port (
|
9 | RS232_Uart_1_TX : out std_logic;
|
10 | RS232_Uart_1_RX : in std_logic;
|
11 | RESET : in std_logic;
|
12 | Push_Buttons_4Bits_TRI_I : in std_logic_vector(3 downto 0);
|
13 | LEDs_4Bits_TRI_O : out std_logic_vector(3 downto 0);
|
14 | Ethernet_Lite_TX_EN : out std_logic;
|
15 | Ethernet_Lite_TX_CLK : in std_logic;
|
16 | Ethernet_Lite_TXD : out std_logic_vector(7 downto 0);
|
17 | Ethernet_Lite_RX_ER : in std_logic;
|
18 | Ethernet_Lite_RX_DV : in std_logic;
|
19 | Ethernet_Lite_RX_CLK : in std_logic;
|
20 | Ethernet_Lite_RXD : in std_logic_vector(7 downto 0);
|
21 | Ethernet_Lite_PHY_RST_N : out std_logic;
|
22 | Ethernet_Lite_MDIO : inout std_logic;
|
23 | Ethernet_Lite_MDC : out std_logic;
|
24 | Ethernet_Lite_CRS : in std_logic;
|
25 | Ethernet_Lite_COL : in std_logic;
|
26 | CLK_P : in std_logic;
|
27 | CLK_N : in std_logic
|
28 | );
|
29 | end MicroBlaze_top;
|
30 |
|
31 | architecture STRUCTURE of MicroBlaze_top is
|
32 |
|
33 | component MicroBlaze is
|
34 | port (
|
35 | RS232_Uart_1_sout : out std_logic;
|
36 | RS232_Uart_1_sin : in std_logic;
|
37 | RESET : in std_logic;
|
38 | Push_Buttons_4Bits_TRI_I : in std_logic_vector(3 downto 0);
|
39 | LEDs_4Bits_TRI_O : out std_logic_vector(3 downto 0);
|
40 | Ethernet_Lite_TX_EN : out std_logic;
|
41 | Ethernet_Lite_TX_CLK : in std_logic;
|
42 | Ethernet_Lite_TXD : out std_logic_vector(3 downto 0);
|
43 | Ethernet_Lite_RX_ER : in std_logic;
|
44 | Ethernet_Lite_RX_DV : in std_logic;
|
45 | Ethernet_Lite_RX_CLK : in std_logic;
|
46 | Ethernet_Lite_RXD : in std_logic_vector(3 downto 0);
|
47 | Ethernet_Lite_PHY_RST_N : out std_logic;
|
48 | Ethernet_Lite_MDIO : inout std_logic;
|
49 | Ethernet_Lite_MDC : out std_logic;
|
50 | Ethernet_Lite_CRS : in std_logic;
|
51 | Ethernet_Lite_COL : in std_logic;
|
52 | CLK_P : in std_logic;
|
53 | CLK_N : in std_logic
|
54 | );
|
55 | end component;
|
56 |
|
57 | attribute BUFFER_TYPE : STRING;
|
58 | attribute BOX_TYPE : STRING;
|
59 | attribute BUFFER_TYPE of Ethernet_Lite_TX_CLK : signal is "IBUF";
|
60 | attribute BUFFER_TYPE of Ethernet_Lite_RX_CLK : signal is "IBUF";
|
61 | attribute BOX_TYPE of MicroBlaze : component is "user_black_box";
|
62 |
|
63 | begin
|
64 |
|
65 | MicroBlaze_i : MicroBlaze
|
66 | port map (
|
67 | RS232_Uart_1_sout => RS232_Uart_1_TX,
|
68 | RS232_Uart_1_sin => RS232_Uart_1_RX,
|
69 | RESET => RESET,
|
70 | Push_Buttons_4Bits_TRI_I => Push_Buttons_4Bits_TRI_I,
|
71 | LEDs_4Bits_TRI_O => LEDs_4Bits_TRI_O,
|
72 | Ethernet_Lite_TX_EN => Ethernet_Lite_TX_EN,
|
73 | Ethernet_Lite_TX_CLK => Ethernet_Lite_TX_CLK,
|
74 | Ethernet_Lite_TXD => Ethernet_Lite_TXD (3 downto 0),
|
75 | Ethernet_Lite_RX_ER => Ethernet_Lite_RX_ER,
|
76 | Ethernet_Lite_RX_DV => Ethernet_Lite_RX_DV,
|
77 | Ethernet_Lite_RX_CLK => Ethernet_Lite_RX_CLK,
|
78 | Ethernet_Lite_RXD => Ethernet_Lite_RXD (3 downto 0),
|
79 | Ethernet_Lite_PHY_RST_N => Ethernet_Lite_PHY_RST_N,
|
80 | Ethernet_Lite_MDIO => Ethernet_Lite_MDIO,
|
81 | Ethernet_Lite_MDC => Ethernet_Lite_MDC,
|
82 | Ethernet_Lite_CRS => Ethernet_Lite_CRS,
|
83 | Ethernet_Lite_COL => Ethernet_Lite_COL,
|
84 | CLK_P => CLK_P,
|
85 | CLK_N => CLK_N
|
86 | );
|
87 |
|
88 | Ethernet_Lite_TXD (7 downto 4) <= "0000";
|
89 |
|
90 | end architecture STRUCTURE;
|