Forum: FPGA, VHDL & Co. Altera DE0 nano Starterkit GPIO expansion headers


von Alex X. (behindi)


Angehängte Dateien:

Lesenswert?

Hallo,

ich hab seit kurzen mit FPGAs angefangen und schon einige kleine 
Programme(bzw. Hardware Beschreibungen) auf meinem DE0 nano Starterkit 
getestet;

Nun möchte ich an den 8 LEDs die unteren 8 Bits eines 16 bit 
Eingangsbusses ausgeben. Dafür habe ich den GPIO expansion Header 
verwendet und bin damit auf ein Steckbrett gefahren, wo mit pull down 
Widerständen(10k) und Schaltern die Zustände eingestellt werden. Das 
Problem ist nun, dass wenn ich bestimmte Pins auf high lege die 3,3V 
zusammenbrechen, welche ich mit einem externen Spannungsregler 
bereitstellt werden.
Aufgrund des Zusammenbruchs der Spannung tippe ich darauf, dass diese 
PINs nicht als Eingänge fungieren, da sie ständig auf Low ziehen.

Dabei ist mir aufgefallen, dass es sich um die Pins der IO-Bank 5 und 6
handelt die diesen "Fehler" aufweisen.

Nun wollt ich fragen ob von euch jemand weiß wo mein Fehler liegt bzw. 
welche eintstellungen ich machen muss damit diese PINs als Inputs 
verwendet werden können.

VHDL code

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity TEST is

  port(  CLOCK_100 : in  std_logic;
    LED : out bit_vector(7 downto 0):=(others => '0');
          DATA_IN : in bit_vector(15 downto 0)
       );
end TEST;

architecture BEHAVE of TEST is

component PLL_100MHz
     port ( inclk0   : in  std_logic  := '0';
            c0       : out bit);
end component PLL_100MHz;

begin

    inst_PLL_100MHz : PLL_100MHz
    port map ( inclk0 => CLOCK_100,
              c0 => CLK_SYS);

  LED <= DATA_IN(15 downto 8);

end BEHAVE;


Danke im Voraus für jeden Ratschlang

mgf

von Alex X. (behindi)


Angehängte Dateien:

Lesenswert?

Die IO- Assignements noch mal etwas größer

von Alex X. (behindi)


Lesenswert?

Hab nun mal versucht die Eingänge als Ausgänge zu deklarieren und alle 
auf High zu stellen, wobei die selben Pins die schon als Eingänge nicht 
gefunzt haben als Ausgänge auch nicht funktioneieren:(,
ich hab mit den IO-expand header noch nie etwas gemacht und von daher 
dürften diese PINS nicht kaputt sein.

Hat von euch jemand ne Idee, wo das Problem liegen könnte?

danke

mgf

von Kai I. (kderh)


Lesenswert?

Ka ob ich das Problem richtig verstanden hab, aber in Quartus kann man 
unter Projektsettings/Device/Device&Pin Options/Unused Pins den 
Standardzustand unbenutzter Pins einstellen. Sinnvoll ist hier oft 
"input Tri-stated".
Die Pins sollten natürlich später dann auf irgendeinem festen Potential 
liegen damit sie nicht wackeln.

Glaub per Default ist das auf Ausgang Drive Ground oder so, was 
natürlich Kurzschlüsse machen kann wenn man die nicht richtig 
verschaltet hat...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.
Lade...