Hallo Leute, nehmen wir an, ich habe folgende entity: entity Name is generic( width : integer:= 32); port( in : std_logic_vector(width-1 downto 0); out: std_logic; sel: std_logic_vector(log2(width)-1 downto 0) ); end Name; Wie sieht nun die Syntax aus um dieses im top-design einzubinden. Die log2 Funktion ist in einer weiteren Datei über package implementiert worden. Vielen Dank.
1 | entity top |
2 | end entity; |
3 | |
4 | architecture Behavioral of top is |
5 | |
6 | component Name |
7 | generic( width : integer:= 32); |
8 | port( |
9 | in : std_logic_vector(width-1 downto 0); |
10 | out: std_logic; |
11 | sel: std_logic_vector(log2(width)-1 downto 0) |
12 | );
|
13 | end component; |
14 | |
15 | |
16 | begin
|
17 | |
18 | instance : work.Name |
19 | generic map( |
20 | width => 32 |
21 | );
|
22 | port map( |
23 | in => dein_in_signal |
24 | out => dein_out_signal |
25 | sel => dein_sel_signal |
26 | );
|
27 | |
28 | end architecture; |
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.