Forum: FPGA, VHDL & Co. Integer in ISIM als Zahl und nicht als Bitvektor darstellen


von VHDLer, der auf ISIM angewiesen ist (Gast)


Lesenswert?

Ich verwende eine Schaltung, in denen einige Zähler mit der std.numeric 
verwendet werden, statt über std logic vecor zu arbeiten. Am Ende werden 
die teilweise in Vektoren verwandelt.

dort, wo das nicht der Fall ist und sie in andere Module laufen, habe 
ich das Problem, deren Werte darzustellen.

Im Grunde sind es einfache Zähler, ISIM stellt sie aber als Bitvektor 
dar und erlaubt - im Gegensatz zu std logic vector leider NICHT den 
Radix umzustellen.

Warum ist das so?

Habe ich mich jetzt geschossen, dass ich sauber in integer zähle?
Mit Zählern als std logic vector war das kein Problem.

von Christian R. (supachris)


Lesenswert?

Das ist und bleibt ein Bug in Isim, es gibt aber einen würgaround:

You can also select mutiple items, and if the radix of one of the 
selected items can be modified, you can modify the radix of all the 
items.  So i just select all the integers and one std_logic_vector, 
change the radix to unsigned decimal, then re-select the 
std_logic_vector and change the radix back.

Aus dem Xilinx-Forum: 
http://forums.xilinx.com/t5/Simulation-and-Verification/RADIX-disabled-for-Integer-Signals/td-p/65723

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.