Forum: FPGA, VHDL & Co. Wie kann ich bei Vhdl eine Matrixe multipliziere


von Martin Gerster (Gast)


Lesenswert?

Hi

Ich hof mir kann jemand helfen.
Verzweifle gerade vor meinem Programm das ich schreiben muss ich hab
ein Probem eine M'M Matrix mit einer M'N Matrix zu multiplizieren.
Mathematisch ist es mir klar das ich die erste Zeile der ersten Matrix
mit der ersten Spalte der zweiten Matrix multiplizieren muss um den
ersten koeffizienten der end Matriz zu bekommen.
Wie schreib ich das aber in Vhdl.
Das Probem das ich hab ist wie kann ich eine Matrix in Vhdl definieren
und wie seine Koeffizienten a11 a12 a13
                                       a21 a22 a23

wenn mir jemand helfen kann waere ich dankbar.

Mit freundlcihen Gruss
Martin

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.