Forum: FPGA, VHDL & Co. Altera, Quartus II


von Emina Dzambo (Gast)


Lesenswert?

Hallo!

Ich arbeite heute zum ersten mal mit QuartusII von Altera und kenne
mich noch kein bisschen mit dem Programm aus. Hat vielleicht jemand von
euch schon mit doiesem Programm gearbeitet?

Ich weiß noch nicht, wie an ein Symbol erstell, wenn man eine Schaltund
fertig gezeichnet hat. Außerdem frage ich mich, wie man ein vorgegebenes
Symbol, z.B. einen Zähler, innen bearbeitet... Muss ich mir einen neuen
Zähler machen?

Ich wäre sehr dankbar für Hilfe!

Danke im Voraus!
Mit freundlichen Grüßen!
Emina

von FPGA-User (Gast)


Lesenswert?

schau bitte mal unter Help->Contents dort ist alles
bestens erklärt,
- wie starte ich ein neues Projekt
- wie erstelle ich Blöcke usw.
also mit der Hilfe von Quartus II bin
ich immer gut zurechtgekommen.

Online-Kurse kosten extra :-)

Frage am Rande:
bist Du jetzt auf Altera umgestiegen ?

von Emina Dzambo (Gast)


Lesenswert?

Hallo

ja, bin ich, weil mit xilinx ist es mir echt schon zu blöde. das
programm von altera ist zwar viel schwerer zu bedienen, jedoch glaube
ich, dass es nicht so viele fehler verursact. übrigens danke für die
antwort.

liebe grüße emina

von Tom (Gast)


Lesenswert?


von Emina Dzambo (Gast)


Lesenswert?

Hallo Tom!

Danke, du bist eine große hilfe. Sowas habe ich gebraucht :-)

freu Mit freundlichen Grüßen Emina

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.