Forum: FPGA, VHDL & Co. Wann Packages


von Spartakus (Gast)


Lesenswert?

Moin.
Wie ist das nun eigendlich mir den componenten und Packages? Wann
sollte ich den VHDL - code in mein Toplevelmodell mit component und
wann als Package einbinden? Wo liegt der vorteil von Packages? Oder ist
es eher egal wie ich es mache?

von Tobias (Gast)


Lesenswert?

Vorteil:
Du musst nicht extra die Componente in der architecture
declarieren( übersichtlicher ).
Typen, Funktionen und prozeduren, die oft benötigt werden müssen nicht
jedesmal neu in der architecture definiert werden. Können also
"global" in deinem Design verwendet werden.

Nachteil:
Naja extra Datei


Egal:
wenn du nur zugriff auf die komponente brauchst, kannst du die auch
direkt in  der Architecture ohne Instanziierung vor der begin anweisung
verwenden.
Beispiel:

Instanz: use entity DeineEntity(Deine Architecture) port map (....


So mach ich das immer

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.