Forum: FPGA, VHDL & Co. Anzeige von Signalen und Variablen in der Simulation


von Andreas Ehret (Gast)


Lesenswert?

Moinsen,

ich habe bei der Simulation von VHDL-Code immer folgendes Problem:

Logischerweise werden nur die Ein- und Ausgangssignale der entity im
Simulator angezeigt. Aber wie kann ich Signale und Variablen, die nicht
nach "außen" geführt werden, auch anzeigen, ohne dass ich sie
testweise immer in die entity schreiben muss?

Da gibt es doch sicher eine einfache Möglichkeit, die ich nur noch
nicht gefunden habe .... :-(

Ich verwende ISE 7.1 und Modelsim 6.0

Grüße
   Andreas Ehret

von Jochen Pernsteiner (Gast)


Lesenswert?


von Andreas Ehret (Gast)


Lesenswert?

danke ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.