Forum: FPGA, VHDL & Co. Modelsim geht nicht


von Frank (Gast)


Lesenswert?

Hallo. kann mir jemand sagen, wie ich Model Sim ans laufen bekomme (für
Xilinx ISE6) ?
Ich bekomm immer nur fehler.
1
# Reading N:/Modeltech_xe_starter/tcl/vsim/pref.tcl 
2
# do graka.ldo 
3
# Model Technology ModelSim XE II vcom 5.8c Compiler 2004.03 Mar 26
4
2004
5
# -- Loading package standard
6
# -- Loading package std_logic_1164
7
# -- Loading package numeric_std
8
# ** Error: (vcom-19) Failed to access library 'unisim' at
9
"N:\Modeltech_xe_starter\win32xoem/../xilinx/vhdl/unisim".
10
# No such file or directory. (errno = ENOENT)
11
# ** Error: rgb_latch.vhf(23): Library unisim not found.
12
# ** Error: rgb_latch.vhf(24): Unknown identifier 'unisim'.
13
# ** Error: rgb_latch.vhf(27): VHDL Compiler exiting
14
# ** Error: N:/Modeltech_xe_starter/win32xoem/vcom failed.
15
# Error in macro ./graka.ldo line 4
16
# N:/Modeltech_xe_starter/win32xoem/vcom failed.
17
#     while executing
18
# "vcom -93 -explicit  rgb_latch.vhf
19
# "

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.