Forum: FPGA, VHDL & Co. Vivado Bank Voltage


von P. K. (pek)


Lesenswert?

Hallo zusammen

Im Quartus Fitter Report (*.fit.rpt) erfahre ich, welche Banks ich an 
welche Spannung anschliessen muss:

+------------------------------------------------------------------+
; I/O Bank Usage                                                   ;
+----------+-----------------+------------+-----------+------------+
; I/O Bank ; Usage           ; VCCIO Vol. ; VREF Vol. ; VCCPD Vol. ;
+----------+-----------------+------------+-----------+------------+
; B1L      ; 0 / 14 ( 0 % )  ; --         ; --        ; --         ;
; B0L      ; 0 / 28 ( 0 % )  ; --         ; --        ; --         ;
; 3A       ; 0 / 48 ( 0 % )  ; 1.5V       ; --        ; 2.5V       ;
; 3B       ; 0 / 32 ( 0 % )  ; 2.5V       ; --        ; 2.5V       ;
...

Respektive ich kann das gleich selbst setzen im *.qsf:

set_global_assignment -name IOBANK_VCCIO 1.5V -section_id 3A
set_global_assignment -name IOBANK_VCCIO 2.5V -section_id 3B

Ich habe die entsprechenden Befehle/Reports für Vivado bis jetzt nicht 
gefunden. Wie wird das gehandhabt?

Gruss

von VHDL hotline (Gast)


Lesenswert?

set_property IOSTANDARD LVCMOS33 [get_ports -filter { IOBANK == 13 } ]

von P. K. (pek)


Lesenswert?

Das trifft den Nagel nicht ganz auf den Kopf, weil ich hier ja a priori 
alle Pins der Bank auf einen IO-Standard festlege.

Meine Absicht aber war es, mal zu bestimmen, welche IO-Spannung die 
Banks haben und erst später die Pins mit unter Umständen 
unterschiedlichen IO-Standards zu belegen (bei 1.8V gibt's ja 
verschiedene Möglichkeiten) und dann, bei irrtümlicher Fehlbesetzung 
eine Error-Message zu erhalten.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.