Hallo, hat hier zufällig jemand eine Ahnung von FPGA/CPLDs und kommt aus Berlin? Ich bräuchte etwas Hilfe verschiedene 30-70mbit serielle Datenströme zu multiplexen. Würde die Hilfe natürlich auch entlohnen.
das ist jetzt aber nicht das ominöse projekt, das die letzte zeit von etlichen Dienstleistern beworben wurde? hat man nun einen billigen Heini gefunden, der es zum Dumpingpreis macht???
Ich wüsste nicht welches Projekt du damit meinst, es hat nichts mit einem Dienstleister oder so zu tun.
Hier, ich schenke Dir einen Multiplexer:
1 | library ieee; |
2 | use ieee.std_logic_1164.all; |
3 | |
4 | entity mux is |
5 | port ( |
6 | channel_1_in : in std_logic; |
7 | channel_2_in : in std_logic; |
8 | channel_3_in : in std_logic; |
9 | channel_4_in : in std_logic; |
10 | --
|
11 | sel : in std_logic_vector(1 downto 0); |
12 | channel_out : out std_logic; |
13 | );
|
14 | end entity mux; |
15 | |
16 | architecture rtl of mux is |
17 | begin
|
18 | |
19 | channel_out <= channel_1_in when sel = "00" else |
20 | channel_2_in when sel = "01" else |
21 | channel_3_in when sel = "10" else |
22 | channel_4_in; |
23 | |
24 | end architecture rtl; |
Wenn Du magst, darfst Du auch noch mehr Informationen zu Deinem Projekt/Problem verraten. Duke
Ich habe den leisten Verdacht, dass das nicht die Lösung sein wird. Eher schon müssen die Bits deserialisiert werden, und stossfrei aneinander gereiht werden. Als SERDES (manuell, oder per IO) dann FIFOs und Control logic.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.