Forum: FPGA, VHDL & Co. RS232


von Stefan Verhounig (Gast)


Lesenswert?

RS232 Interface mit VHDL?!?

Hat einer von euch schon mal einen RS232 Sender mit VHDL (Xilinks)
programmiert?!!?
Man benötigt ja einen Zähler und ein Schieberegister oder?!?

von TobiFlex (Gast)


Lesenswert?

Hat einer von euch schon mal einen RS232 Sender mit VHDL (Xilinks)
programmiert?!!?

Ich nicht.
Ich habs mit AHDL und Altera getan.
Du brauchst einige NODE und einige DFFE.

von TobiFlex (Gast)


Angehängte Dateien:

Lesenswert?

Ansonsten kann ich Dir eine Schaltung für Altera Quartus anbieten.
Viele Grüße
TobiFlex

von Jörn (Gast)


Lesenswert?

Beim Picoblaze ist eine dabei.

von dose (Gast)


Lesenswert?

Ich habe den Code mal nachvollzogen und finde ihn auch gut erklärt.

http://www.aifb.uni-karlsruhe.de/Lehrangebot/Winter2001-02/HardSoft/reports/project2/ALSE_UART_us.pdf

von Oliver H. (fpga-anf)


Lesenswert?

Hallo benötige für meine Arbeit auch ein fertiges RS232 Interface in
VHDL um es im ISE Webpack zu benutzen.
Hier ist eins in Verlilog.....
http://www.fpga4fun.com/SerialInterface.html
Ich weiss zwar dass man im ISE VHDL und VERILOG zusammen nutzen kann
bin aber leider noch anfänger und will mir nich gleich alles auf einmal
geben.
Vielleicht kanns ja mal jemand posten.

Gruss

von Alban (Gast)


Lesenswert?

opencores.org

- simpleUart
- Serial UART
- UART 16550 core
- a VHDL 16550 UART core

...

Hab aufgehört zu suchen.

Warscheinlich gibt es noch weitere.

von T.M. (Gast)


Lesenswert?

Ich habe vor ner Weile mal eine in VHDL geschrieben. Kannst ja mal
guggn, ob die dir was bringt. Da sind noch Optimierungen möglich, bin
aber noch nicht dazu gekommen diese zu tätigen :-(

http://www.mikrocontroller.net/forum/read-9-319162.html#new

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.