Forum: FPGA, VHDL & Co. Wie optimieren um weniger Produktterme zu bekommen?


von Stefan (Gast)


Lesenswert?

Hallo,
Ich habe ein kleines VHDL Progrämmchen geschrieben. Die Anzahl der
benutzten Makrozellen ist ok. 14 Stück. Nur die Produktterme, mein
WebPack sagt er hätte 188 Stück erzeugt. In einen XC9536 den ich gerne
benutzen will passen aber nur 180 Stück. Kann mir jemand einen Tip
geben wie ich es hinbekomme weniger erzeugen zu lassen. Meinetwegen
können dafür auch noch ein paar Makrozellen draufgehen.

mfg
Stefan

von Ines (Gast)


Lesenswert?

Hallo Stefan,

ich vermute mal, dass Dein Code zu - wie soll ich sagen - komplizierte,
aus Hardware-Sicht längliche Konstrukte enthält. Was ich meine ist, Du
wirst zu viele Berechnungen, Vergleiche o.ä. innerhalb eines Taktzyklus
machen, bevor Du das Ergebnis registerst.
Probier doch mal Zwischenergebnisse rauszuziehen und auf Signale =
Register zu legen (vorrausgesetzt Dein Timing lässt das zu). Das sollte
helfen.

Gruß
Ines

von FPGA-User (Gast)


Lesenswert?

Hallo Stefan,

kannst auch versuchen, die Synthese etwas zu pushen.
In meinem CPLD-Projekt für den 7-stelligen 200 MHz
Frequenzzähler (1x XC9572 zu 99% voll) habe ich
mit folgenden Parametern experimentiert:

"Collapsing PTERM Limit" (z.B. 26)
"Collapsing Input Limit" (z.B. 36)
"Use Multilevel Logic Optimization" (ON)
"Optimization Style" (Area / Speed)

da kann man noch einiges rausholen !

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.