Forum: FPGA, VHDL & Co. FPSLIC STK594 - Wie Reset abfangen?


von Bodo Felger (Gast)


Lesenswert?

Moin,
ich würde gerne im FPGA-Code darauf reagieren, wenn auf der Platine der
Resetknopf gedrückt wird. (Liegt an Pin 108 des AT94K40 an).
Es sollen also bestimmte Routinen nur nach dem Reset ausgeführt
werden.
Leider kann ich im Place&Route diesen Pin keinem Input zuweisen.
Wie kann ich auf einen Reset am Besten reagieren?
Oder gibt es ein Standardsignal, so daß ich kein zusätzliches
Eingangsresetsignal definieren muß?

Danke.
Bodo

von Bodo Felger (Gast)


Lesenswert?

PS:
Der Code ist in VHDL geschrieben. (Zusammengewurschtelt triffts wohl
eher :) Anfänger läßt grüßen)

von ope (Gast)


Lesenswert?

Ist ja schön, dass Du den VHDL source vor Dir hast. Hier im Forum
dürften die Glaskugel garade nicht zur Hand sein ;-)

Sende einfach den relevanten Teil des reset sources. Ansonsten haben
zumindest die Xilinx einen Global Set Reset GSR Pin, da der reset wie
auch der clock intern spezielle "Leitungen" haben.

Viele Grüße
Olaf

von Bodo Felger (Gast)


Lesenswert?

Die Frage war ja: Wo kann ich erkennen, daß gerade reset gedrückt wurde.
(Wenn ich das richtig lese in den Schematics: Reset drücken -> Daten
werden vom AT17LV010 Datenspeicher in den FPGA-Teil geschrieben -> Code
legt los).
Jetzt die Frage mal anders formuliert: Kann man irgendwo ablesen, daß
in diesem Moment der Code loslegt? Liegt zB an einem auslesbaren Pin
gerade eine Transition high->low (oder andersrum) an?
Wie ich das sehe, bekommt der FPSLIC seine Reset-info durch Pin 108
(RESET, intern nicht verlinkbar, nicht als abgreifbarer Pin auf dem
Board nach außen geführt) mit anschließendem Laden der Daten über die
Datenleitungen.
Oder reicht es in der port Definition einen port "reset: in
std_logic" zu definieren, dem aber weder per .ict noch per .pin
irgendwas zuzuweisen?

Mir gehts also nur darum: Wo bekomme ich das reset-Signal her. Was ich
machen muß sobald ich es habe, ist mir klar.

Vielleicht ist jetzt klarer geworden, was ich meine :)

Danke,
Bodo

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.