Forum: FPGA, VHDL & Co. Bevorzugung bit oder std_logic?


von Martin (Gast)


Lesenswert?

Hallo Leute!

Habe gerade den Datentyp bit durchgearbeitet.
(in, out, inout, buffer).

Jetzt beginne ich gerade mit den Datentypen std_logic und
std_ulogic.

Die Datentypen std_(u)logic kommen mir viel mächtiger
vor als bit.

Wenn man jetzt ein VHDL-Design in der Praxis entwirft,
setzt man diese Datentypen gemischt ein oder verwendet man
eher std_(u)logic, da diese neuer sind?

Oder wo würde man den Datentyp bit gegenüber Datentyp std_logic
bevorzugen?

Kann man alles was man mit bit realisieren kann auch mit std_logic
realisieren?

Warum sollte man überhaupt noch bit verwenden?

Danke für eure Antworten.

Tschüss, Martin

von Xenu (Gast)


Lesenswert?

>Wenn man jetzt ein VHDL-Design in der Praxis entwirft,
>setzt man diese Datentypen gemischt ein oder verwendet man
>eher std_(u)logic, da diese neuer sind?

Man verwendet eigentlich nur std_logic.

>Kann man alles was man mit bit realisieren kann auch mit std_logic
>realisieren?

Ja, aber nicht umgekehrt.

>Warum sollte man überhaupt noch bit verwenden?

Das frage ich mich auch.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.