Forum: FPGA, VHDL & Co. synth 8-6014


von Gustl B. (-gb-)


Lesenswert?

Guten Abend, mir werden in VIVADO 2017.1 gerade lauter Dinge 
wegoptimiert die bei 2016.4 noch da blieben ... in der Warning steht 
immer:
synth 8-6014 am Anfang und dann das was wegoptimiert wurde. Ich finde 
dazu genau gar nichts und wüsste natürlich sehr gerne den Grund.

Vielen Dank!

von S. R. (svenska)


Lesenswert?

Wie heißt die Meldung denn genau?

Ich vermute mal, dass es einen neuen Optimierungsschritt gibt, der in 
deiner Logik triggert. Aber ohne die Logik zu kennen, wird's schwierig, 
da was zu sagen...

von Gustl B. (-gb-)


Lesenswert?

[Synth 8-6014] Unused sequential element i_reg was removed.

[Synth 8-6014] Unused sequential element bitdauerzaehler_snd_reg was 
removed. 
["D:/vhdl/TEM_uni_5_stream_2017/TEM_uni_5_stream.srcs/sources_1/imports/ 
sources_1/workshop_uart.vhd":43]

[Synth 8-6014] Unused sequential element counter_reg was removed. 
["D:/vhdl/TEM_uni_5_stream_2017/TEM_uni_5_stream.srcs/sources_1/imports/ 
sources_1/ADUM_AD7356.vhd":25]

Leider steht da eben nicht mehr dabei. Das sind alles Signale die mir im 
Vivado 2016.4 erhalten bleiben denn die sind auch notwendig. Ich wüsste 
gerne warum das jetzt rausfliegt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.