Forum: Analoge Elektronik und Schaltungstechnik Suche getriggerter D-Lach


von Hermann (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,
Weiss jemand ob es ein IC gibt, welches vom System gleich ist, wie ein 
SN74HC574 (Octal Edge Triggered D Type Flipflop), jedoch aber die Daten 
Eingänge auch flankengetriggert sind. So zu sagen ein SN74HC574, welches 
an jedem Eingang ein RS Flipflop hat.

Leider ist es mir aus Platzgründen nicht möglich vor jeden Eingang ein 
Flip zu schalten und suche darum nach einem IC welches das beinhaltet, 
bin jedoch im Internet nicht fündig geworden.

Danke bereits im Voraus.

von alle mal lache, jetzt! (Gast)


Lesenswert?

Vlt. so was wie wie die 74HC173, sind aber nur vier Zellen hat dafuer 
in-,out- enable und reset. Mglw gibt es auch was in achtfacher 
Ausfuehrung kenn ich aber jetzt nicht.

Die Daten werden aber wohl bei allen Varianten mit dem clock 
uebernommen.
Meist steigender Flanke, hier jedenfalls. Was solls denn werden?

von Gerd E. (robberknight)


Lesenswert?

Du könntest einen kleinen MachXO2 verwenden, z.B. in QFN32. Da kannst Du 
in VHDL oder Verilog genau beschreiben was Du brauchst.

Oder alternativ ein kleiner Mikrocontroller.

Hängt davon ab wie schnell die Signale sind und was Du damit hinterher 
vor hast.

von Der Zahn der Zeit (Gast)


Lesenswert?

Eingänge flankengetriggert? Mit RS-FLipflops? Dahinter D-Flipflops?

Wie auch immer, eigentlich eine typische Anwendung für ein GAL, z. B. 
GAL22V10 bzw. die noch produzierten ATF22V10 (DIL, SO und TSSOP24, LCC, 
CLCC und PLCC28) - nur, die sind so was von überholt und out, dass man 
sich hier schämen muss, sie zu erwähnen. Nicht zuletzt deshalb, weil 
keiner mehr eine Entwicklungsumgebung dafür hat. (Außer mir, 
wahrscheinlich... - aber ich schäme mich ja auch...)

DZDZ

von Hp M. (nachtmix)


Lesenswert?

Aus deiner Beschreibung werde ich nicht recht schlau und aus dem 
Schaltbild mit den vielen in der Luft hängenden Pins schon gar nicht.
Vielleicht ist ein 8-Bit PIPO-Schieberegister wie der '198 oder '299 
etwas für dich.

Hier 
https://en.wikipedia.org/wiki/List_of_7400_series_integrated_circuits 
gibts eine ganz gute Übersicht über die 74er Serie, wobei du 
wahrscheinlich nicht alle aufgeführten Typen wirst kaufen können.
Evtl. findest du, was du suchst, auch bei der 4000er CMOS-Serie: 
https://en.wikipedia.org/wiki/List_of_4000_series_integrated_circuits


P.S.:
Das ist das Standardwerk für die 74er-Serie, das in den 70er und 80er 
jeder Ingenieur und Bastler zur Hand hatte: 
http://www.ti.com/lit/sl/scyd013b/scyd013b.pdf
Vielleicht findest du dort, was du suchst. Eine Übersicht über Register, 
Latches usw. findet du so etwa bei +/- Seite 150 des Buches.

: Bearbeitet durch User
von Hp M. (nachtmix)


Lesenswert?

Ich glaube, ich weiß jetzt was du willst: Ein flankengetriggertes 
8-Bit-D-Latch (gemeinhin auch als flankengetriggertes Register 
bezeichnet), mit gemeinsamen Clear.

Die alten '198er z.B. konnten das, aber sie werden offenbar nicht mehr 
hergestellt, u.a. wohl weil deren breites 24-Pin-Gehäuse nicht etwa 
Platz spart, sondern sogar mehr Platz braucht, als zwei 16-Pin-Gehäuse.

Vielleicht solltest du mal über ein Redesign deiner Schaltung/Platine 
nachdenken, wenn du keinen Platz mehr für ein weiteres IC hast ;-)

Warum lässt du nicht einfach den µC eine 0 in sämtliche Eingänge des 
'574 schreiben?

: Bearbeitet durch User
von Peter D. (peda)


Lesenswert?

Lt. Deinem Schaltplan suchts Du kein D-Latch, sondern ein RS-Latch.
Z.B. 4-fach: CD4044.

von Jacko (Gast)


Lesenswert?

Scheinbar hat niemand mehr Bedarf an Mehrfach-RS-Latches.

Ich frage mich auch, wie du den Ausgang eines solchen Latches
verarbeiten willst, um in Echtzeit auf die Signale zu
reagieren? 8-fach-OR dahinter auf einen IRQ-Pin?

Falls es keine µC-Schaltung ist, musst du es wohl mit zwei
CD4044, oder 4043 probieren - sind sogar noch im Handel.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.