Forum: FPGA, VHDL & Co. Xilinx Ultrascale+ BlockRAM vs UltraRAM


von VHDL hotline (Gast)


Lesenswert?

Hallo,

hat schon einmal jemand mit dem UltraRAM der Xilinx UltraScale+ Serie 
gearbeitet und kann mir sagen, ob es da einen praktischen Unterschied in 
der Handhabung bzw. Anwendung gegenüber BlockRAM gibt?
So wie ich das sehe, sind die UltraRAM Zellen einfach nur größer als 
BlockRAMs, lassen sich aber im wesentlichen genauso verwenden? Was sind 
die Anwendungsfälle, wo BlockRAM oder UltraRAM zu bevorzugen ist? Gerade 
bei den Kintex US+ sind die ja ungefähr gleich groß, oder lese ich da 
die Tabellen falsch?

von Duke Scarring (Gast)



Lesenswert?

Irgendwo (ich glaub in einer Xilinx-Präsentation) hab ich mal die 
Unterschiede gefunden...

von VHDL hotline (Gast)


Lesenswert?

Danke. Was mich interessieren würde ist das praktische Handling.

Sind URAM und BRAM unterschiedlich angebunden, so dass dramatisch 
unterschiedliche Durchsätze erreicht werden können? Wie stark hat die 
konfigurierte Gesamttiefe des Speichers darauf Einfluss (bei URAM wird 
ja von "kaskadieren" der einzelnen Zellen gesprochen)?

Ist die Xilinx-Intention und entsprechende Umsetzung, den BRAM in 
kleinen Speicherstückchen für spezielle Speicheranwendungen (z.B. FIFO, 
LUT) zu verwenden und den URAM als großen Zwischenspeicher?

von Bitwurschtler (Gast)


Lesenswert?

VHDL hotline schrieb im Beitrag #5120098:
> Danke. Was mich interessieren würde ist das praktische Handling.

> Sind URAM und BRAM unterschiedlich angebunden, so dass dramatisch
> unterschiedliche Durchsätze erreicht werden können? Wie stark hat die
> konfigurierte Gesamttiefe des Speichers darauf Einfluss (bei URAM wird
> ja von "kaskadieren" der einzelnen Zellen gesprochen)?

Steht doch alles in dem Schnipsel oben!

Der URAMtaugt nicht zum Einsynchronisieren wie bei der einer asynchronen 
FIFO da es nur noch eine Taktdomain für alle RAM-Ports gibt.
Das vereinfacht das Layout/Schaltungstechnik dramatisch und damit den 
kritischen Pfad.

-Der Datenport ist immer 72bit breit, auch das vereinfacht das Layout 
der URAM-zelle. Die Möglichkeit zur Umsetzung andere Datenportbreiten 
bleibt aber im unterschied zum Einsynchronisieren immer nocht enthalten, 
allerdings muss man das mit den Ressourcen der Logic-fabric (slices mit 
LUT, FMUX und FF) realisieren. Aber da einem eher die BRAM's als die 
Slices ausgehen sollte das kein Problem sein.

von Michael W. (Gast)


Lesenswert?

Bin gerade an sowas dran. Der angeblich 72Bit breite Datenport macht mir 
Sorgen. Damit würde man eine Einschränkung in der Nutzbarkeit haben und 
könnte die RAMs in keinster Weise so gut ausnutzen, wie die BRAMs, weil 
die wenigsten APPs 64 Bit breit sind. Man kann auch nicht immer 
packagen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.