Hallo, Ich versuche gerade einen Vector [31:0] zu einem Array vom Typ [7:0][3:0] via generate zu wandeln ...
1 | reg [31:0] Vector; |
2 | wire [7:0] Array [3:0]; |
3 | |
4 | generate |
5 | genvar g_index; |
6 | for(g_index=0; g_index < 4; g_index = g_index + 1) begin |
7 | assign Array[g_index] = Vector[(g_index+1)*8] : g_index*8]; |
8 | //assign Array[g_index*N +: N ] = Vector[g_index]; // +: also works |
9 | end |
10 | endgenerate |
iverilog sagt: test.v:12: syntax error test.v:12: error: syntax error in continuous assignment test.v:22: warning: extra digits given for sized hex constant. test.v:22: warning: Numeric constant truncated to 32 bits.