Forum: FPGA, VHDL & Co. Xilinx cpld


von empty_cause (Gast)


Lesenswert?

Hallo!

Ich werde aus dem sehr spärlichen Infos vom Datenblatt des 95108 nicht
so ganz schlau.
Muss man die Pins GTS, GCK & GSR anschließen und wenn ja wie?
Der Xilinx wird für eine Schaltersteuerung verwendet.

Thx

von Hagen R. (hagen)


Lesenswert?

Nein wenn du sie nicht benutzt. Du solltest diese Pin dann aber
konfigurieren, zb. intern auf GND.

Gruß Hagen

von empty_cause (Gast)


Lesenswert?

Dann is alle klar!
Danke!

von Der T. (Gast)


Lesenswert?

Für was braucht man diese überhaupt?

von Hagen R. (hagen)


Lesenswert?

Sind spezielle Signale, separat geroutet im CPLD, mit geringen
Laufzeiten auf dem Silizium oder speziell aktivierbarer Logik für
Verzögerugnen. Daher werden sie für Globale Clocks (GCK) globale
Resets/Enable (GSR) und hmmm GTS.. (müsste ich erst nochmal
nachschlagen) benutzt. Sie haben öfters auch nur geringere oder
speziellere Ein/Ausgangstreiber im Vergleich zu den anderen Pins.

Es ist also eine gute Idee ein Enable/Reset/Clock Signal auf diese Pins
zu routen.

Gruß Hagen

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.