Forum: Mikrocontroller und Digitale Elektronik Frage zu Monoflop


von anfänger (Gast)


Lesenswert?

Hallo!

Nach langer Zeit melde ich mich auch mal wieder hier :-) Und zwar mit
folgender Frage:

Was passiert, wenn das Triggersignal an einem Monoflop (bspw. mit
NE555) länger dauert als der vom Monoflop erzeugte Impuls? Werden dann
weitere Impulse erzeugt oder verlängert sich die Impulszeit oder
passiert nach dem definierten Impuls einfach garnichts mehr?!?

Über eine Antwort würde ich mich sehr freuen.
Schöne Grüße, Stefan.

von johnny.m (Gast)


Lesenswert?

Da Monoflops (auch die Standardschaltung mit dem 555er)
flankengetriggert sind, ist die Länge des Triggerpulses unerheblich, so
lange er nicht zu kurz ist. Der Ausgang wird gesetzt, sobald eine
fallende Flanke am Triggereingang auftritt und wird nach der
eingestellten Zeit wieder rückgesetzt, unabhängig davon, ob am
Triggereingang immer noch 0V anliegen.

von A.K. (Gast)


Lesenswert?

'4538: Trigger- und retriggerbar durch Flanke. Wie lange der
Triggereingang aktiv bleibt, ist irrelevant.

NE555: Diese Betriebsart ist offiziell nicht vorgesehen und nur schwach
dokumentiert (Internschaltung, etwas deutlicher im TI Datasheet).
Retriggert wird nicht, aber wenn der Trigger nach Ablauf der Zeit < 1/3
VCC ist, bleibt der Ausgang solange aktiv.

von anfänger (Gast)


Lesenswert?

Super! Mehr wollte ich nicht wissen. Danke für die schnelle Hilfe!

MfG, Stefan.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.