Forum: FPGA, VHDL & Co. Pinzuweisung bei einem iCE5LP mit iCECube2


von Fritz W. (fritz6392)


Angehängte Dateien:

Lesenswert?

Hallo zusammen,

ich will einen iCE5LP (iCE40 Ultra) mit iCECube2 programmieren. Ich 
synthetisiere mit Lattice LSE. Mit meinem Design (top.vhd) bin ich 
soweit zufrieden. Mein Problem ist, dass ich meine Input-Ports nicht 
zuweisen kann. Bei den Ausgängen klappt es aber. Im Package view kann 
ich die Ausgänge per drag and drop zuweisen. Bei den Eingängen klappt es 
nicht. Wenn ich meine pinning.pcf unschreibe, dann werden im Package 
view die Änderungen nur bei den Outputs angezeigt. Kann mir da jemand 
helfen?

von Chris (Gast)


Lesenswert?

Moin,

ich könnte Dir da wohl helfen, wenn das Problem noch besteht.
Oder hast Du es inzwischen gelöst?
Ich guck später mal drüber.

Grüße, Chris

von Chris (Gast)


Lesenswert?

Hi, ich nochmal.

Wenn Du das Design neu synthetisiert und dann "Import P&R Input Files" 
wählst (Die folgenden Schritte noch nicht machen), dann kannst Du im 
Pin-Constraint Editor in einer tabellarischen Ansicht die meisten 
Input-Pins frei auswählen. Ausnahme davon sind bestimmte Clock-Inputs, 
die an die PLL gehen.
Danach musst du für diese Änderungen das Lock-Häkchen setzen.
Danach kannst du Place&Route machen.

Gegenfrage, da Du auch IceCube benutzt:
kennst Du Dich mit Timing Constraints und deren Unterstützung in IceCube 
aus?

Grüße, Chris

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.